Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim error scfifo of altera is not bound

Status
Not open for further replies.

sonika111

Member level 2
Joined
Jan 11, 2011
Messages
50
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,716
Hi there

I am using
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;

still I get the error simulating in modelsim that scfifo is not bound....

Can someone point out how exactly I need to use them inorder I can use the altera component scfifo (simulate in modelsim)? Thanks
 

Sounds like you didn't import the Altera libraries. This would be normally done when setting up Modelsim for Altera FPGAs.
 

Sounds like you didn't import the Altera libraries. This would be normally done when setting up Modelsim for Altera FPGAs.

I have imported these altera libraries (altera_mf) but modelsim still complains
 

Open modelsim and look in the libraries section see if you have altera_mf along with work and the ieee libraries. If the libraries don't show up in modelsim along with the work library then they aren't compiled into a library or there isn't a line(s) in the .mpf or ini file specifying where the libraries are located (vmap) or worstcase they were incorrectly compiled into the work library.
 

Thanks very much for the replies.Yes I can see compiled altera_mf library along with work, ieee etc in modelsim but still I can't find the component during simulation. Thanks
 

Have you declared an scfifo component locally? if you have, this will override the on in the _components package and may cause it not to bind to the entity.
FIX: Dont have locally declared components for altera stuff.
 

Have you declared an scfifo component locally? if you have, this will override the on in the _components package and may cause it not to bind to the entity.
FIX: Dont have locally declared components for altera stuff.

Thanks for your suggestion. But I haven't done that either....

So don't have a clue what is going wrong?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top