Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] post layout extraction

Status
Not open for further replies.

vaah

Member level 3
Joined
May 24, 2012
Messages
67
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Location
US
Activity points
1,785
Hi guys,

When we do the extraction using Calibre the file generated has "*.pex" extension. I have been reading some manuals for post layout simulation and all they say is to use ".spf/dspf".

My question is what "*.dspf" or "*.spf" is? How can I extract it using Caliber?

Can somebody please elaborate more about this and tell me if there is any difference between these two extraction. As far as I understood, seems they are same but no idea how i can extract ".spf/dsp" file.


Thank you very much
 

Hi Vaah-

The .pex file contains your lumped parasitic capacitance. You should also have regular netlists in the format you specified. (Check your SVRF rules for a PEX NETLIST statement, or if you are using Calibre Interactive, look in the Netlists tab in the Outputs window. There's a button where you specify the format.)

For how to extract a specific netlist type, look in the manual for the product you use (Calibre Interactive - PEX: calbr_inter_user.pdf; xRC: xrc_user.pdf; xACT: xact_user.pdf). The xRC and xACT manuals have a quickie section on using the GUI (Calibre Interactive - PEX) but focus on SVRF and command line.

If you are doing DSPF and also extracting parasitic resistance, there should also be a .cci file in the same directory as the .pex file.

Good luck-

Sam.
 
  • Like
Reactions: vaah

    vaah

    Points: 2
    Helpful Answer Positive Rating
Thank you very much, Sam.

Hi Vaah-

The .pex file contains your lumped parasitic capacitance. You should also have regular netlists in the format you specified. (Check your SVRF rules for a PEX NETLIST statement, or if you are using Calibre Interactive, look in the Netlists tab in the Outputs window. There's a button where you specify the format.)

For how to extract a specific netlist type, look in the manual for the product you use (Calibre Interactive - PEX: calbr_inter_user.pdf; xRC: xrc_user.pdf; xACT: xact_user.pdf). The xRC and xACT manuals have a quickie section on using the GUI (Calibre Interactive - PEX) but focus on SVRF and command line.

If you are doing DSPF and also extracting parasitic resistance, there should also be a .cci file in the same directory as the .pex file.

Good luck-

Sam.
 

Hi Vaah-

The .pex file contains your lumped parasitic capacitance. You should also have regular netlists in the format you specified. (Check your SVRF rules for a PEX NETLIST statement, or if you are using Calibre Interactive, look in the Netlists tab in the Outputs window. There's a button where you specify the format.)

For how to extract a specific netlist type, look in the manual for the product you use (Calibre Interactive - PEX: calbr_inter_user.pdf; xRC: xrc_user.pdf; xACT: xact_user.pdf). The xRC and xACT manuals have a quickie section on using the GUI (Calibre Interactive - PEX) but focus on SVRF and command line.

If you are doing DSPF and also extracting parasitic resistance, there should also be a .cci file in the same directory as the .pex file.

Good luck-

Sam.

It came to my mind that then when we can extract ".pex" and netlist then why do we need ".sdf or .dspf".
SDF is used to backannotate the delay "after layout", right? What I get is that some delay/RC will be added to pre-layout (lets say schismatic) netlist and then the new netlist with the dealy is simulated and compared the results to verify the functionality of the circuit. Is that true? My question is Calibre can generate ".pex" and "netlist" for simulation so why we should bother and use ".SDF"?

Many thanks.
 

Hi Vaah-

You'll need to talk to the person who put together your tool flow. If the SDF file appears when you run Calibre, your scripts are presumably calling the Time-It add-on (a separate product), which does use the parasitic netlists and DSPF to produce the SDF. (Or, of course, the SDF may be coming from another tool. It isn't produced by Calibre xRC or xACT.)

As to why you might still need the parasitics -- that depends on what your design closure analysis includes. Does it include IR drop, maybe, or electromigration? Could still need the separate parasitic resistance netlist. Signal noise? Parasitic capacitance, and for high speeds, likely the parasitic inductance as well. What you need is pretty dependent on what type of device you are designing and the headaches for that particular process; you really need to talk to someone familiar with your setup.

Best-

Sam.
 
  • Like
Reactions: vaah

    vaah

    Points: 2
    Helpful Answer Positive Rating
SPF and DSPF stand for the same thing - its an acronym for "Detailed Standard Parasitic Format".
DSPF (or SPF) file is the output of extraction tool (StarRC, QRC/Quantus, CalibrePEX / XRC, F3D,...) - a text file containing post-layout netlist.
It contains information about design elements (MOSFETs, diodes, BJTs, resistors, capacitors, inductors,...) as well as parasitic elements (usually Rs and Cs, sometimes - Ls and Ks (self- and mutual inductances)).
Being fully SPICE-compatible, DSPF file format contains a lot of additional useful information (in the form of SPICE comments) - such as coordinates of nodes and parasitic elements, layers, instance pins, ports, subnodes (fracture points of polygons for R extraction), etc. etc.
DSPF is an industry standard format used for transistor level post-layout analysis - such as SPICE simulation, IR/EM analysis, timing analysis, etc.
Unfortunately, information about DSPF format is sparse, and also this format is not as well defined and not as strict as, for example SPEF format (SPEF is a gate-level post-layout RC netlist format, used for place-and-route designs for timing, IR/EM, etc.).

Check with Calibre documentation on how to generate DSPF format from XRC.
 
  • Like
Reactions: vaah

    vaah

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top