Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Comm (SPI & Ethernet modules) not working after migration from ISE -> Vivado.

Status
Not open for further replies.

moeedmughal

Junior Member level 2
Joined
Oct 1, 2015
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Location
United Kingdom
Activity points
196
Hi all,

I am in the process of migrating our firmware from ISE to Vivado. Initially there were couple of synthesis errors which are now fixed and i was able to generate .bit/.bin files and successfully programmed Cmod A7 module to test Ethernet communication between PC and our system. We used WIZ812MJ Ethernet module which is controlled/configured by FPGA through SPI .

Initially i wasn't even able to ping the device through command prompt. Then i tweaked the way TxData & RxData were declared in firmware which worked. Why and How ... i don't know. 8-O


Code:
entity SPIController is
	generic 
	(
		SPI_MODE		: natural := 0;	
		TX_DATA_WIDTH 	: natural := 32;
		RX_DATA_WIDTH 	: natural := 8
	); 
   
	Port
	( 
		Clk 		: in std_logic;
		
		Enable      : in std_logic;
--		TxData	    : in std_logic_vector(TX_DATA_WIDTH-1 downto 0);
--		RxData	    : out std_logic_vector(RX_DATA_WIDTH-1 downto 0);
		TxData	    : in std_logic_vector(31 downto 0);
                RxData        : out std_logic_vector(7 downto 0);
		Busy		: out std_logic;	 
		
		SCLK		: out std_logic;
		CS			: out std_logic;
		MOSI		: out std_logic;
		MISO		: in std_logic		
	);
end SPIController;

Now i can ping the device through Ethernet. In order to further test the functionality of the system, i connected it to hyper terminal but unable to establish the connection. There are multiple sockets for Ethernet module ..... i change the sockets in the properties of hyper terminal but nothing worked.

To conclude, i can ping the system through PC (command prompt) but can not establish a connection via hyper terminal.

I am not an expert in this area. Any help in this regard will highly be appreciated. Any questions please feel free to ask.

Regards,
Moeed.
 

Re: Comm (SPI & Ethernet modules) not working after migration from ISE -> Vivado.

Dear Moeed,
As we said you have successfully done code for test Ethernet communication between PC and system (FPGA) by using WIZ812MJ Ethernet module which is controlled/configured by FPGA through SPI, I am also started now to do the same so I kindly request you to share your me the ISE or Vivado code to use.

[email address deleted]

Thanks & Regards
sheree subahan
 
Last edited by a moderator:


Re: Comm (SPI & Ethernet modules) not working after migration from ISE -> Vivado.

Sorry for late update. The problem is sorted. Some how SCLK bumped above maximum threshold (i.e. 72nS). Tweaked the clock and now Ethernet is working.

Thank you all for your help and suggestions.

I need that ok code for me
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top