Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

problem in system verilog program

Status
Not open for further replies.

Ahmed Hisham

Newbie level 3
Joined
Nov 11, 2014
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
27
Code:
module aaa(input logic a,b,c,output logic y);
	assign y=~a&~b&~c | a&~b&~c | a&~b&c;
endmodule

i am using Quartus for simultion at the begining of the creation of the project i chosed verilog
as there is no for system verilog

now this error is given to me for the code a

Error (10161): Verilog HDL error at aaa.v(2): object "logic" is not declared
 

You have two options:
1> Use a compiler and simulator that supports System Verilog constructs.
2> If not<1>, then change your 'module aaa' to Verilog

Converting it to Verilog or for the matter of fact writing a new Verilog module seems pretty easy from the logic you have provided. That is your task!

So this SV cheatsheet might help you in case you want to go with option <2>.
https://www.cl.cam.ac.uk/teaching/1112/ECAD+Arch/files/SystemVerilogCheatSheet.pdf
 

does the later versions of Quartus support system verilog
 

Try using the file extension .sv instead. If Quartus simulator is anything like Vivado's it will automatically use system verilog if it sees yhat extension.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top