Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Xilinx simulation failed

Status
Not open for further replies.

sandik93

Newbie level 3
Joined
Oct 31, 2015
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
23
Hello,

I created a schematic file to make a FIFO buffer and added 2 modules(mux and UC code written in verilog symbols created and added to the main schematic) and made a verilog test fixture for it. After running simulation behavioral model appeared 11 errors of the same type:

ERROR:HDLCompiler:25 - "D:/.../fifo_buffer/main.vf" Line 562: Module <UC_MUSER_main> does not have a port
ERROR:Simulator:778 - Static elaboration of top level Verilog design unit(s) in library work failed


error.jpg


Any help would be really appreciated.
 

hi sandkid93
it look like the underline file doesn't seem to have the same port names as in the block diagram.
it will be easier, if you will share the all project.

best regards
arui
 

hi sandik93 .

basically it is about cleaning files.
i deleted/renamed some uc.jhd, uc.sch, deleted the main.wf file ofcourse and did clean up project,.
 
  • Like
Reactions: sandik93

    V

    Points: 2
    Helpful Answer Positive Rating

    sandik93

    Points: 2
    Helpful Answer Positive Rating
hi sandik93 .

basically it is about cleaning files.
i deleted/renamed some uc.jhd, uc.sch, deleted the main.wf file ofcourse and did clean up project,.

Thanks a lot, a clean up solved the problem.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top