Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

found '0' definitions of operator "/" and "**"

Status
Not open for further replies.

ya_montazar

Member level 2
Joined
Feb 24, 2014
Messages
47
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
342
hello!

what is the problem with my code which encountered these two error...
I have used these two operators "**" and "/" and I have add related libraries:
ieee.std_logic.unsigned
ieee.std_logic.arith
found '0' definitions of operator "/" ,cannot determine exact overloaded matching definition for "/"

found '0' definitions of operator "**" ,cannot determine exact overloaded matching definition for "**"


thanks.
Meysam Sh.
 

To answer your question literally: Neither operator is defined in std_logic.arith. What make you think they can be used?

Division "/" is however defined in ieee.numeric_std and also for integer objects. Also "**" for integer and real.

Synthesizability depends on used tool. Division by power of 2 is mostly supported, division by arbitrary constants or signals might infer a divider block. "**" can be at least used for constants (compile time calculations).

What do you want to achieve?
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top