Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[MOVED] Code for Decimal to Binary conversion using Verilog

Status
Not open for further replies.

Sree Lahari

Newbie level 1
Joined
Sep 22, 2014
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
7
Hello guys,
Can anyone give me the code required for 'decimal to binary conversion using verilog for 4 bit' please
im doing a project
 

I'm a bit confused because verilog takes input in binary form and gives output also in binary form. We can only display the output in decimal I guess.(Not sure)
So, you mean, you have to give a decimal input to the device - like from a keyboard- and to display the output in binary form. right?
 

hi
Hello guys,
Can anyone give me the code required for 'decimal to binary conversion using verilog for 4 bit' please
im doing a project

what is the input method?
how did you giving the input?


thanks
 

probably your project does not involve any hardware and it is just an academic assignment, in that case, you should post your attempt 1st.

Your project still doesn't make any sense in terms of hardware and in case of defining the entity.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top