Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL 3D array input port

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Hello,

Is it possible to define a 3D unconstrained array as an input port to a VHDL entity?
for example - inside a package
Code:
type unconstrained_2D_array : is array ( natural range <> ) of std_logic_vector ;
type unconstrained_3D_array : is array ( natural range <> ) of unconstrained_2D_array ;
If I use the custom type "unconstrained_3D_array" as an input port to an entity - will it synthesize?
 

I'm not sure you can use ANY unconstrained port on an entity. But I would say, 'why don't you just try it and see what happens?'
 

It's allowed by VHDL 2008 , but I wouldnt count on support from synthesis vendors...
 
  • Like
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top