Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

2 Dimensional FFT using 1 D in VHDL

Status
Not open for further replies.

shan14

Member level 3
Joined
Aug 18, 2014
Messages
61
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
393
Hi people,
Is it possible to implement the 2D FFT using 1D FFT ?
suppose I have radix 2 FFT code with me , Now I want to convert it into 2D.
so what is the process here after??
 

2dd_fft.png

the fundamental operations in implementing 2D FFT algorithm is
equivalent to doing a 1D-FFT on the rows of the block of data and then doing a 1D-FFT on
the columns of the result. In other words, we can implement 2D FFT algorithm by: Compute
the 1D-FFT for each row, Transpose the matrix, Compute the 1D-FFT for each column, and
Transpose the matrix.
 

Hey thanks for replying quickly.
Now about the algorithm, so does this mean I have to instantiate my FFT code twice in order to calculate 2D fft.??
also, to give the inputs in matrix form how should I write the inputs in the testbnch??
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top