Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

floating point multiplier in VHDL code?

Status
Not open for further replies.

cedance

Advanced Member level 2
Joined
Oct 24, 2003
Messages
551
Helped
30
Reputation
60
Reaction score
7
Trophy points
1,298
Location
Germany
Activity points
4,622
hi,

i need floating point multiplier VHDL code. if possible for 8,16 and 32 bit. also the utilisation should be less. does any1 have it?


/cedance
 

Here is one from Opencores!

**broken link removed**
 

    cedance

    Points: 2
    Helpful Answer Positive Rating
****h****p://vhdl.org/vhdlsynth/fp/fp_alexz0.htm*** check this...
 

Both of A*ltera and X*ilinx have the floating point multiplier as basic elements
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top