Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What happens when concat STD_LOGIC into STD_LOGIC_VECTOR

Status
Not open for further replies.

legendbb

Member level 1
Joined
Nov 16, 2013
Messages
34
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,516
Let's say I have 32 independent STD_LOGIC signal each transits on independent logics.

Just being lazy about output port, if I concatenate them into a STD_LOGIC_VECTOR(31 DOWNTO 0). What the impact of this assignment would have for FPGA implementation?

Would this end up with less resource usage or potential timing routing issue?

I assume if I don't register this STD_LOGIC_VECTOR, tool will take it as nets; if I register this signal, logic is different.

Please comment,

Thanks,
 
Last edited:

Concatenation is just bundling of signals (hence think wires) and should not increase or decrease the amount of logic required by the rest of the circuit.
 
Concatenation is just bundling of signals (hence think wires) and should not increase or decrease the amount of logic required by the rest of the circuit.

Thanks a lot for your reply.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top