Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

doubt about sync process and async process in vhdl

Status
Not open for further replies.

kommu4946

Member level 4
Joined
Feb 21, 2014
Messages
71
Helped
13
Reputation
26
Reaction score
11
Trophy points
1,288
Location
India
Activity points
1,846
Hi all,

what happens if we use synchronous process and asynchronous process in a design.Does it give meta stable values when implemented on board.
 
Last edited:

Your question isnt very clear. Do you mean synchronous process to synchronous an asynchronous signal? or just synchronous processes in general? Why not provide an example?
 

sorry...what happens if we use synchronous process and asynchronous process in a design...

Code:
process(clk,rst)
begin
if(rst='1')then
a<=(others=>'0');
elsif( clk'event and clk='1')then
a<=b;
end if;
end process;
synchronous process
Code:
process
begin
wait until ( clk'EVENT and ( clk = '1' )  ) ;
if(rst='1')then
c<=(others=>'0');
else
c<=a;
end if;
end process;
does c gets any metastable value..
 

The asynchronous reset must be released synchronous to clock to avoid timing violations and among other issues metastable states.

Similarly all inputs to the synchronous process must keep setup and hold timing requirements. Using an reset signal with unrelated timing in a synchronous process won't be better than case 1.
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top