Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

CDC for AXI master and AXI slave

Status
Not open for further replies.

sun_ray

Advanced Member level 3
Joined
Oct 3, 2011
Messages
772
Helped
5
Reputation
10
Reaction score
5
Trophy points
1,298
Activity points
6,828
My AXI slave is working at 100 MHz. This AXI slave will communicate with AXI masters. Now the AXI masters can
operate at frequencies like 300 MHz, 600 Mhz and 1GHz where the master clock will come from a PLL which is different than the PLL which supplies the slave clock. What are the AXI signals for which CDC can be taken care? What should be used for CDC? It seems double stage synchronizer will not work.
 

To my understanding, AXI master and slave must work synchronously. I mean, you "might" be able to double/triple sample those hand-shake signals for each channel(addr/data/response), but in your example, the AXI slave will largely impact the efficiency of the whole AXI architecture.
Can you explain the purpose of your current 100MHz AXI slave design?
AMBA AXI Protocol excerpt:

"ACLK Clock source Global clock signal. All signals are sampled on the rising edge of the global clock.
ARESETn Reset source Global reset signal. This signal is active LOW."
 
Last edited:

ivb1991 or anybody

That other thread discuss about AXI2AXI bridge and not what was asked in this thread. Can anybody please resolve the issue that is asked in post number 1 of this thread?

Regards
 

Is it possible to use 'AXI Interconnect' in Asynchronous mode ?
According to the Xilinx definition of AXI interconnect, it says:
ACLK Settings — Clock settings of each Master and Slave.

ACLK Asynchronous – Allows you to specify whether the clock for each master/slave is asynchronous or synchronous. This is an optional update parameter. If you provide a selection for one of the masters or slaves, you have to provide a choice for all masters or slaves.
ACLK Ratio — Displays the clock frequency ratio for each Master/Slave.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top