Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Could Verilog modules used together with VHDL modules?

Status
Not open for further replies.

walkon

Junior Member level 1
Joined
Oct 21, 2004
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
165
Could I use a Verilog module to drive a VHDL model?
Because I want to present real numbers, but it seems Verilog
even couldn't support the real array. So could I use a VHDL
to do the computation with real numbers driven by a Verilog module?

Thanks.
 

Several packages support mixed language entries like Altera Quartus, Xilinx ISE and Synplify Pro...ispLever doesn't...


Already discussed few times here...have you searched before posting your question? I guess not (o;


And tell me..why did you post the question in "Analog circuit design" ????
 

two questions:
1- what's ispLever?
2- can this mixed mode been synthesised?
tnx
 

two questions:
1- what's ispLever?

Software tool from Lattice for their devices. Could have been answered via a quick google search!

2- can this mixed mode been synthesised?

What do you think it means when I say above tools mentioned support mixed languages? They are "synthesizing" tools!!
 

hi, Thanks for u reply very much.
secondly, I just realized that I posted in the wrong board.
I try to find my post on Analog Circuit Design just now. hehe
I thought I posted there, but seems not.
I am sorry for the inconvience I brought.
 

And, by looking back to the previous discussion, I get the idea that it could work.

And the reason why I need to use VHDL is because I want to process some real numbers. And could the ports in Verilog be defined as the format of real arrays?
 

Yes you can use with cadence
 

You can use module port to output real no.s in verilog
for that you need to use $bitstoreal and $realtobits system functions!
Check with Cadence verilog users guid
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top