Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] How can i calculate the inverse of a covariance matrix?

Status
Not open for further replies.

sheikh

Advanced Member level 4
Joined
Sep 10, 2007
Messages
104
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,298
Activity points
2,008
How can i calculate the inverse of a covariance matrix in VHDL?

Hello Dears
I need to know that how can i calculate the inverse of a covariance matrix in vhdl? ( a 2*2 matrix)
also, please tell me that how can i insert the inversion steps in an ASM?
(In fact, i have a single Gaussian function and i want to prepare an ASM for it , then try to write its codes)
Regards
Mostafa
 
Last edited:

you could probably pipeline it. I doubt a state machine is needed.
 

Thank, but do you have any exam? also i need to know the implementation of inverse operation? (on a matrix)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top