Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] read a text or binary file in vhdl using xilinx 12.4 and store it into the array

Status
Not open for further replies.

dikshapandey

Newbie level 3
Joined
Mar 30, 2012
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
i want to read a file binary or text in vhdl using xilinx 12.4.
can you please tell me how to do it.
i'll be realy very thank full to you....
:roll:
 

For what purpose? file IO is only appropriate for testbenching, and text IO is much simpler than data IO.
 
thank you for your reply...
actually i have made a project on pattern matching. and i want to apply it to a data set store in a file.
so i need to read that data from file bit by bit.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top