Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to generate VALUE CHANGE DUMP FILE in VHDL?

Status
Not open for further replies.

designervhdl

Newbie level 1
Joined
Sep 24, 2004
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
5
vcd file

can anyone tell me how to generate VALUE CHANGE DUMP FILE in vhdl not in command line operation.
 

vcd file

what do you mean?. do you mean that how to generate VCD file from simulators like modelsim. In modelsim you can write VCD file while your simulation is on. this can be used for power estimation and other purposes while analyzing board level checks.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top