Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Distributed Arithmetic in VHDL

Status
Not open for further replies.

Elnaz

Newbie level 5
Joined
Mar 22, 2007
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,346
Hi everybody,

I need a simple vhdl code for signed distributed arithmetic. I want to
calculate the result of an inner product with distributed arithmetic
(FIR filter). Can you guide me to some sources please?
 

**broken link removed**
 

I had seen that doc before; but I don't have access to the codes which that lab is all about.
 

Message is unavailable.
 


Thanks, but this FIR is the standard implementation not the one with distributed arithmetic method and lookup table etc.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top