Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

modelsim combinatorial loop

Status
Not open for further replies.

delorimier

Newbie level 2
Joined
Mar 28, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,297
There's a combinatorial loop somewhere in a design I'm simulating with modelsim. This gives me the error: Error: (vsim-3601) Iteration limit reached at time 105 ns.
Is there any way to for the simulator or compiler to tell me a path in the loop? My design is large, and I haven't been able to isolate the loop to a small module, and I would like to know where it is.
Actually, I would prefer the modelsim compiler (vcom) to tell me if there are any unregistered paths, because the simulator does not detect the loop on all inputs.
Thanks, Michael
 

delorimier,
Those can be really hard to find, if the design is not tooo large, you could zip it and post it or PM it to me, I will have a look.

Sckoarn
 

So I can find the combinational loop by going through Xilinx tools instead. However, it would be more convenient for me if I can set Modelsim to find loops. That way, any future loop bugs will certainly be exposed at compile time, instead of possibly exposed to runtime. And I'll save time debugging since I'll know what's causing the bug.
 

delorimier,
This error is usually due to some coding mistake. I do not know of a way for modelsim to be enabled to detect these kinds of errors.

Sckoarn
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top