Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

error: :Route:455 - CLK Net:XLXI_29/divided_clock may have excessive skew because

Status
Not open for further replies.

keremcant

Member level 5
Joined
Dec 25, 2009
Messages
87
Helped
6
Reputation
12
Reaction score
5
Trophy points
1,288
Activity points
1,910
hi,
I draw a circuit in schematic editorc in xilinx and it has a clock. but when I try to generate programming file for fpga, I get this warning:

WARNING:Route:455 - CLK Net:XLXI_29/divided_clock may have excessive skew because 0 CLK pins and 1 NON_CLK pins failed to route using a CLK template.

and than my circuit does not function on the fpga. I guess this is a basic warning, since I am a basic learner and my circuit is a small and not complicated. but why do I get this warning?
thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top