Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Xilinx 9.1i Warning Route:455 - CLK Net:u0/q<17>

Status
Not open for further replies.

cyboman

Member level 4
Joined
Mar 9, 2010
Messages
71
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
USA
Activity points
1,808
i have synthesized a very simple sequential circuit for an FPGA. i burned it and it worked fine but for some reason during implementation i got the following warning:

Route:455 - CLK Net:u0/q<17> may have excessive skew because
1 CLK pins and 1 NON_CLK pins failed to route using a CLK template.

can anyone explain what does it mean? should i be worried? and if i should then how this can be avoided in the future?

any help is appreciated.
 

Generate an advanced timing report and analyze it for timing violations, especially hold violations. The clock report will also give you some hints. You may have to eventually specify timing constraints.

If you are able to, then post your code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top