Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

4x4 bit multiplier in vhdl?

Status
Not open for further replies.

niten

Newbie level 3
Joined
Mar 24, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
Hi,
Does anyone know how to write a 4x4 multiplier code in vhdl. I also want to implement the code on my Xilinx Spartan 3E board but don't know how to assign the pins. Any help would be appreciated. Thank you.
 

Hello,
i would suggest that you first go through some good books such as roth where in the exmaples are given. So first, try to design a multiplier and if you have any problems with the constructs. Seek help.

I'm attaching a doc in pdf for you. there i have explained how to assign the pins. I think this doc will help you.

cheers..

Iyer--
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top