Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

read/write image in hdl

Status
Not open for further replies.

telasdist

Newbie level 1
Joined
Jan 16, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
I want to write some Verilog/VHDL code for image processing. Can any image file (e.g. JPEG) be the input of Verilog(/VHDL) source code, and after processing the image, the output image be stored as image file (In hardDisk)? The board i used will be SPARTAN II xc2s50.
 

I think verilog has not this ability. you should convert image to matrix anywhere (MATLAB) and use its file as input for verilog (in Modelsim) with 'readmem". after compilation you can save the results with "$fprint" and convert this file (with MATLAB) to image.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top