Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need help about HspiceToolbox for MATLAB on x64 windows

Status
Not open for further replies.

Fractional-N

Full Member level 1
Joined
Oct 15, 2007
Messages
97
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
2,071
Hi,
can I use HspiceToolbox on a 64-bit windows OS?

I can't compile "loadsig.c" file. I need help please :)
 

When I try to compile the file "loadsig.c" the following error apears:
>> mex loadsig.c -output loadsig.dll
Microsoft (R) Incremental Linker Version 9.00.21022.08
Copyright (C) Microsoft Corporation. All rights reserved.

D:\temp\mex_XnKl3r\loadsig.obj
LINK : fatal error LNK1104: cannot open file 'loadsig.mexw64'

C:\PROGRA~1\MATLAB\R2008A\BIN\MEX.PL: Error: Link of 'loadsig.mexw64' failed.

??? Error using ==> mex at 207
Unable to complete successfully.


When I try another command this error apears:

>> mex -c loadsig.c
loadsig.c
C:\Program Files\MATLAB\R2008a\toolbox\HspiceToolbox\loadsig.c(673) : warning C4101: 'nbuf' : unreferenced local variable
C:\Program Files\MATLAB\R2008a\toolbox\HspiceToolbox\loadsig.c(672) : warning C4101: 'nsweep_var' : unreferenced local variable
C:\Program Files\MATLAB\R2008a\toolbox\HspiceToolbox\loadsig.c(672) : warning C4101: 'nprobe' : unreferenced local variable
C:\Program Files\MATLAB\R2008a\toolbox\HspiceToolbox\loadsig.c : fatal error C1083: Cannot open compiler generated file: 'loadsig.obj': Permission denied

C:\PROGRA~1\MATLAB\R2008A\BIN\MEX.PL: Error: Compile of 'loadsig.c' failed.

??? Error using ==> mex at 207
Unable to complete successfully.


I think this is a windows issue!? Can anyone help?
has anyone installed HspiceToolbox on a 64bit computer?

please help, I'm totally exhausted. :cry:
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top