Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How: Bidirectional port at FPGA

Status
Not open for further replies.

PigiPigi

Member level 2
Joined
May 1, 2002
Messages
43
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
271
Hi my friends,
I like to implement a bidirectional data bus (port to externl memory) at Xil FPGA that have bidrectional port. How can i do that.
 

First you 'd better use Mutiplexer to replace Bidirectional port.
Second If you still want to Bidirectional port, pls desribe circuit like this:


--------| PAD
|
_____|\_____________ |---\
|/ | |__/
|
|
_______ /| __|
\|
 

ENTITY example IS
PORT( out_en : IN STD_LOGIC;
in_bus : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
out_bus : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bi_bus : INOUT STD_LOGIC_VECTOR(3 DOWNTO 0));
ARCHITECTURE rtl OF example IS
BEGIN
out_bus<=bi_bus;
bi_bus<=in_bus WHEN out_en='0' else (others => 'Z');
END rtl;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top