Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Confusion in pins of FPGA kit and Chip pins

Status
Not open for further replies.

saurabhmimani

Junior Member level 1
Joined
Jun 16, 2009
Messages
19
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,396
pins of fpga

hi
I was using a spartan 2 kit in whose manual pin numbers of different IO"S are given as simple numbers as 77, 80 and so on, I don't know how to get pin number of spartan 2 FPGA respectively. I am using Universal DSP trainer from MTE Pune with Spartan 2 PQ208, manual can be downloaded from

https://www.mte-india.com/Product/InstallProc/UNI-DSP_MANUAL.pdf

please write anything you know that can help me.

Thankyou.
 

pins for fpga

If you're using ISE, each line starting with "Net" is placed in a UCF text file. Include only the lines that are needed.

For example, you probably want to use the onboard 4 MHz oscillator for your clock. So, in your UCF file, you type the following line:

Net "CLK_4M" loc = "77";

Your input port for the clock signal will need to be named CLK_4M.

If you want to be different, you can change the name of the signal from CLK_4M to some other name.
 

operation manual for spartan-2 trainer example

Yeah, I am completely agree with your point, I tried the same thing you have said but I am getting the following error-

ERROR:MapLib:30 - LOC constraint 77 on CLK_4M is invalid: No such site on the

I am coding the following simple blink_led code just to test inertially working of the kit for spartan 2 XC2s200 PQ208-

module blink_led(out,CLK_4M);
output out;
input CLK_4M;
reg out;
reg i=1'b0;

always @ (posedge CLK_4M)
begin
if(i)
out=1'b0;
else
out=1'b1;
i=i+1;
end

endmodule
 

Hi
Why do you need where the points are?You can just copy the required UCF pins from the manual and add it in your UCF file and run the program.

Added after 1 minutes:

Connect OUT to output pin you have mentioned to output LED in UCF.
Input to input of fpga(where input pins are mentioned)
 

@ Tan

yeah, but I am getting the error i have mentioned but I am getting the error i have mentioned because manual is saying you will get clock on pin77 but there is no 77 pin number in spartan 2 fPGA.

I don't know am I able to convince what my problem is because when I copied the required pins from the manual in the UCF file I am getting the error.

Thanks for reply.
 

With the latest versions of ISE, you will need to amend the pin numbers by adding "p" (as in the data sheet), as follows:

Net "CLK_4M" loc = "p77";
Net "out" loc = "p204";
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top