Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how can i describe a multiplier using a ROM in VHDL?

Status
Not open for further replies.

yan25

Newbie level 3
Joined
May 14, 2009
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,313
tanks for all responses. the multiplier must have A[5:0]-input, which

is a bus for adresses, D[5:0]-output which is a bus for data

informations and it gets the data value which is stored at the adress

of the input A ;OE(output enable) -it is the input which activates the

output D- if OE='1' then at the out D it will be the value which is

stored in the memory, or else, the bits of output D will be in a state

of high impedance; CS (circuit select)- activation input of circuit. if

CS='1' the circuit will decode the adress input and will supply the

output (if OE='1') value stored at the specified address.else (if

CS='0'), the circuit will not decode adress input, and at output D the

bits will be in a state of high impedance.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top