Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error in textio read when simulating a testbench in Modelsim

Status
Not open for further replies.

Fergu

Newbie level 3
Joined
Oct 22, 2008
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,303
Hi,All,I wrote a testbench , and simulated it in modelsim,but there is an error about textio read, the error information is :
# Fatal error at F:/123/duc_design_restored/duc/test_complex_tb.vhd line 121

--the vhdl code of the section:
data_in:process(clk)
file f_bdata : text open read_mode is "test.txt";

variable l_bdata : line;

variable w_bdata : integer;

begin
if rising_edge(clk) then
readline(f_bdata,l_bdata);-- line 121
read(l_bdata,w_bdata);
base_band_data <= CONV_STD_LOGIC_VECTOR(w_bdata,16);
end if;
end process data_in;

is there any error in my vhdl code ,pls help me ,thanks in advance!
best regards
Fergu
 

Re: About textio read?

i doesnt find any error..
So, check the declarations and the type of data in the .txt file
 

About textio read?

pavan,thanks for your replay. I am a beginner in this field , I want to get some data as the inputs of the port "base_band_data" ,the data is generated by matlab and save as a .txt or .dat file , how to process it and which type of data is right, could you pls tell me the direction. thanks again!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top