Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

baud/symbol rate to sampling rate

Status
Not open for further replies.

firewind4000

Newbie level 2
Joined
Oct 15, 2008
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,293
baud symbol rate

Guys,

How do I translate 2400Hz baud rate to sampling rate in Samples/second? Is there any relationship here? I'm using Labview Modulation toolkit but the lazy bump at NI didn't include baud rate, all that they accept for digital demodulation is Sample/seconds and Samples/channel. This is the most bizzard thing ever as most Spectrum Analyzer with built in Vector Signal Analysis does not do that ....they just go by the center frequency, baud rate, bw, modulation scheme, and filter.
 

the lazy bump at NI
It may be meaningful to learn some basic technical terms of a given field of science or engineering when starting a work. I don't think, that the toolkit wants to replace a text book.
 

firewind4000 said:
Guys,

How do I translate 2400Hz baud rate to sampling rate in Samples/second? Is there any relationship here? I'm using Labview Modulation toolkit but the lazy bump at NI didn't include baud rate, all that they accept for digital demodulation is Sample/seconds and Samples/channel. This is the most bizzard thing ever as most Spectrum Analyzer with built in Vector Signal Analysis does not do that ....they just go by the center frequency, baud rate, bw, modulation scheme, and filter.

Hi,
It could be 2400 samples/sec. because baudrate is nothing but symbols/sec. if 1 symbol=1 sample,may be 2400 samples/sec... but not sure :|


Regards,
shiva
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top