Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert integer into logic vector using a function in numeric_std?

Status
Not open for further replies.

kungfu007

Newbie level 6
Joined
Mar 31, 2008
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,349
in library declaration:
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

How to convert integer into logic vector by using function in numeric_std? As i known, integer-> logic vector availabe in library std_logic_arith. But , we're not allow to use this library if u're using numeric_std.
Any solutions?


Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top