kungfu007
Newbie level 6
in library declaration:
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
How to convert integer into logic vector by using function in numeric_std? As i known, integer-> logic vector availabe in library std_logic_arith. But , we're not allow to use this library if u're using numeric_std.
Any solutions?
Thanks.
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
How to convert integer into logic vector by using function in numeric_std? As i known, integer-> logic vector availabe in library std_logic_arith. But , we're not allow to use this library if u're using numeric_std.
Any solutions?
Thanks.