Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

rom/ram implementation ..

Status
Not open for further replies.

prashant_sharma

Newbie level 5
Joined
Mar 1, 2007
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,372
hello all,

i would like to know that can we create the rom/ram as an array using the following:

first an array say rom:

type rom is array (0 to 8) of st_logic_vector(7 downto 0);

and the create signals , of this type...and the choose values to be stored in it ...

But my question is.. would it be synthesizable??

thanks

Added after 12 minutes:

that rom is 0 to 4 and 7 down to 0
i don kbow where that smiley came from :p
 

Many synthesis tools will infer ROMs and RAMs from your HDL code, if your target device supports it. You may need to write your HDL code in a certain way to get the desired results. Check your synthesis user manual. For example, the "HDL Coding Techniques" chapter in the Xilinx XST User Guide.

When you post or edit a message, look near the bottom of the page for a checkbox, "Disable Smilies in this post".
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top