Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Parellel ro serial converter

Status
Not open for further replies.

sagar_saga01

Newbie level 5
Joined
Mar 10, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,343
I have a typical problem for which i am not getting the idea.

I have written a code for adaptive huffman in verilog for data compression.I am converting the fixed size data 8 bit to variable one by assigning the code.Every thing works fine like reading the data from file, assigning code, but problem occurs when i have to write the assigned code for the charaters in the output file,because its a variable length fron 4bits to 12 bits.

so i thought to convert this parallel data to serial one and write to output but how to do that one,because my data will change for the next clock,and converting parellel data to serila will take more clock cycles.

Any idea are welcome please respond

Thanks
Sagar
 

I think, you should know before, if you intend constant input or constant output data rate, or may be a variable data rate in asynchronous streams with a handshake.

Depending on this prerequisites, you should design your output interface. If a constant inpute rate of 1 byte/clock cycle would be used, you get a stream output with a length indicator anyway. You could output full bytes only (0 to 2 per cycle) and buffer the surplus bits or output a variable number of bits for each cycle.

Without a known data sink interface, the question is somewhat bloodless.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top