abhi_459
Member level 3
spartan 3e lcd
hi
this is my entity of program....
entity UT16 is
Port ( INA : in std_logic_vector(15 downto 0);
INB : in std_logic_vector(15 downto 0);
OUTPUT : out std_logic_vector(31 downto 0));
end UT16;
can anyone help me to display these output on LCD , SPARTAN 3E KIT or provide me the lcd code for these in VHDL....
plz help me
hi
this is my entity of program....
entity UT16 is
Port ( INA : in std_logic_vector(15 downto 0);
INB : in std_logic_vector(15 downto 0);
OUTPUT : out std_logic_vector(31 downto 0));
end UT16;
can anyone help me to display these output on LCD , SPARTAN 3E KIT or provide me the lcd code for these in VHDL....
plz help me