Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

display the output on LCD on SPARTAN 3E KIT

Status
Not open for further replies.

abhi_459

Member level 3
Joined
Jan 22, 2006
Messages
57
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
chd india
Activity points
1,644
spartan 3e lcd

hi
this is my entity of program....

entity UT16 is
Port ( INA : in std_logic_vector(15 downto 0);
INB : in std_logic_vector(15 downto 0);
OUTPUT : out std_logic_vector(31 downto 0));
end UT16;


can anyone help me to display these output on LCD , SPARTAN 3E KIT or provide me the lcd code for these in VHDL....


plz help me
 

mostrar un mensaje en la lcd de la spartan 3e

it will depend upon the controller used within the LCD...
read kit user guide... and according to the controller timing generate the output
which you will give to the lcd.. your controller data line would be 4 0r 8 ... read out the kit user guide...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top