Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How can i read a text file inut in verilog

Status
Not open for further replies.

sagar_saga01

Newbie level 5
Joined
Mar 10, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,343
where can i see the commands or sytax which r usefull to read the text data in the verilog code.
I am working on a datacompression architecture in which i have to give input as a text file.
How i can read it
Please reply
Thanks
Sagar
 

sagar_saga01 said:
where can i see the commands or sytax which r usefull to read the text data in the verilog code.
I am working on a datacompression architecture in which i have to give input as a text file.
How i can read it
Please reply
Thanks
Sagar

Do a google code search for $fopen, $fgets/fgetc/fscanf on Verilog.

Ajeetha, CVC
www.noveldv.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top