Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with VHDL code to show symbol on LCD (Spartan 3E)

Status
Not open for further replies.

Alex_lynatik_

Newbie level 2
Joined
Apr 3, 2008
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,293
I have bought your product Spartan-3E Starter Kit
Board. I am trying to show the symbol on LCD Screen.
But I have not result. I cann't understand wherein problem.
Please, help me. If you can send me the snip of code on VHDL
how do it?

Thank you!
 

spartan 3e

Some months ago, I wrote a simple crude Verilog module (sorry not VHDL) that displays "Hello World!" on the LCD of the Xilinx Spartan-3E Starter Kit. Maybe it will help you. Look in this long discussion for my message dated 07 June 2007:
#852813
 

spartan-3 hello world

download S6A0069 is a dot matrix LCD driver & controller ........
read it clearly .....u will get
 

lcd spartan3e

Thank you for helping. I find a code on VHDL for LCD. But I don't find a code on VHDL for ADC. I must done a votmeter on PLIS. Here is a code for LCD : **broken link removed**
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top