Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncsim waveform editor

Status
Not open for further replies.

sharada.144

Newbie level 5
Joined
Sep 27, 2007
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,384
ncsim shm_probe

Hi. Can anyone tell me if ncsim supports any waveform editor? If so, how do I use that during simulation?

Thanks and regards,
Sharada
 

does ncsim support waveform editor ?

ncsim does not support ant waveform editor! But it has waveform viewer program called simvision.
To dump waveform for verilog module use following
initial begin
$shm_open("WAVEFORM");
$shm_probe( top, "AS");
end
 

ncsim generates a .vcd file that you can edit using simvision or GTK wave.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top