Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Solution to VHDL eror: can not have such operands in this context

Status
Not open for further replies.

sandeep_sggs

Full Member level 2
Joined
Jan 21, 2008
Messages
140
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Location
india
Activity points
2,226
Can anybody tell me the remedy for the following error in VHDL:

" /= can not have such operands in this context." for the following line in the code:


if (C_IMPL_DA /= 0) then



m using xlinx9.1i.

plz tell me if u know.


thanx!!!!!!!!!!!!!!!!!!!!!!
 

vhdl eror

try this

if (C_IMPL_DA /= '0') then


binary values should be in quotes ' '
 

Re: vhdl eror

still the same error dear!!!!!!!!
m not able to trace.
i fact using less than equal to operator is also giving the same error..............
Do u know why????????????????
 

vhdl eror

find whether you included the arith library

use ieee.std_logic_arith.all;
 

Re: vhdl eror

Can't be seen with out knowing C_IMPL_DA type and used libraries.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top