Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

delay in timesim simulation in Modelsim

Status
Not open for further replies.

gck

Full Member level 3
Joined
Oct 17, 2006
Messages
173
Helped
26
Reputation
52
Reaction score
19
Trophy points
1,298
Activity points
2,220
I have made a simple program of DFF. Its functional simulation is working fine in Modelsim. But after taking Timesim file of the same DFF, and simulating it. I found that, for first 100 ns the test is not getting simulating.

But if I put the wait statement for 100ns, then its working fine.

Please help me.

Is there any problem, in library of simprim.

Please help me.
 

By saying the "the test is not getting simulating "
you mean the results are unknown('X') or modelsim is issuing any error
 

the test is not getting simulated means, the test cases are not making any effect on output. The ouput remains in reset state.
 

It's not a problem, it's more of a surprise. At the beginning of a post-route simulation (and maybe other intermediate simulations), glbl.v sends a 100ns global reset pulse to many Xilinx primitives. I think a roughly similar pulse occurs in the actual silicon. Adjust your testbench to tolerate it, as you have done.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top