Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to fix multi source errors in Verilog code?

Status
Not open for further replies.

andrew257

Member level 2
Joined
Feb 22, 2007
Messages
42
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,648
hi all,

i keep getting an error message when i try to compile my verilog code.

it refers to a multi source. Now i can see where the error is occuring but i dont know how to fix it.

basically i have the outputs of 3 modules all connecting to the same input on another module.

e.g

output1 ----------|
output2 ----------|-----------input1
output3 ----------|

is there away around this?

thanks
 

Re: multi source errors

A way around would be to consider what you try to achieve. The shown structure is logical impossible. As moving in three directions simultanously.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top