Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How the design a frequency doubler

Status
Not open for further replies.

bigpop

Junior Member level 3
Joined
Nov 24, 2004
Messages
29
Helped
7
Reputation
14
Reaction score
5
Trophy points
1,288
Activity points
179
Hi anybody

I want double my input clock frequency. Except use PLL, is there any other circuit can realize this function?
My input clock frequency is 250Mhz, output clock duty cycle shold be 40%~60%, propagation delay should be <2ns.

thanks very much
 

there is a simple solution.... give the clock to a buffer and then AND the original clock signal and the buffered signal... due to the delay the AND gates output is a signal with double the frequency and the duty cycle can be adjusted based on the propogation delay of the buffer....
 

use an xor and us it in feedback in a delay locked loop to maintain duty cycle of 50%
 

thanks amarnath and Srinivasan. I will try to use this way
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top