Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Hotswap in Vhdl using fpga

Status
Not open for further replies.

hareeshP

Member level 3
Joined
Jul 19, 2017
Messages
59
Helped
1
Reputation
2
Reaction score
1
Trophy points
8
Activity points
491
hi,
Does anyone have done hot-swap control in vhdl?
 

Hi,

Please be more specific.
Hotswap often is used with power supplies. So how do you relate it to FPGA and VHDL?

Klaus
 

Hi,

Please be more specific.
Hotswap often is used with power supplies. So how do you relate it to FPGA and VHDL?

Klaus

The hot swap controller is controlled by fpga through vhdl programming.
 

Hi,

As long as you don`t provide sufficient information it will be difficult to help.

Klaus
 

Ok, how about you mux different behaviours based on some external input.
If pin is pressed(when something is connected) - Then do x
When pin is depressed(when something is not connected) - Then do y
 

Hi,

Ok, how about you mux different behaviours based on some external input.
If pin is pressed(when something is connected) - Then do x
When pin is depressed(when something is not connected) - Then do y
How can this be?
Usually a hot swap controller is on the slave board. And the hot swap controller controlls power supply behaviour.
So how can a FPGA do something before it is powerd up?

Still missing an explanation by OP.

Klaus
 

The OP doesn't talk about a FPGA controlling it's own power supply.

I tend to ignore Edaboard threads if the OP doesn't manage (or even try) to explain the problem.

- - - Updated - - -

Looks like this previous thread is related to the same problem https://www.edaboard.com/showthread.php?t=370400
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top