Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

question about CMT of the spartan 6

Status
Not open for further replies.

matin-kh

Member level 3
Joined
Nov 9, 2013
Messages
67
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
iran
Activity points
1,955
Hi every one,
I underestood that the model of spartan 6 which I'm working on it(XC6SLX150) has 6 CMT so it has 6 PLL and 12 DCM. I have two question now:
1- I run clocking wizard on my ISE and there I understood there are three modes which I can select *DCM_SP--->which contains to mode, DCM to PLL and PLL to DCM.
*DCM_CLKGEB
*PLL_BASE
so we just choose one of these modes and we can up to 6 outputs of each CMT in this FPGA. it means we can't use pll, DCM0 and DCM1 to have more output separately?
2- in clocking wizard I can just set the settings for one DCM, so how can I use other 5 DCMs? if no why?if yes how can I do it?
Regards
Matin
 

2- in clocking wizard I can just set the settings for one DCM, so how can I use other 5 DCMs? if no why?if yes how can I do it?
Regards
Matin

Run the clocking wizard 5 more times with the settings you want, then instantiate all six of the resulting IP into your design. As long as you've correctly picked the pins for the input clock you shouldn't have to specify any of the DCM/PLL locations. If the plan is to have only one clock input to the FPGA then you can run the clock through a BUFG first then to the DCM/PLL in the CMTs, though this means the tools won't compile the design with compensation for the extra clock insertion delay due to the BUFG.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top