mahmood.n
Member level 5
May I ask why the following code doesn't work?
If a=011 then the value of a won't change over the clock.
Code:
entity test is
port( a: buffer std_logic_vector(2 downto 0);
clk: in std_logic);
end;
architecture my of test is
begin
process( clk )
begin
if (clk'event and clk = '1') then
a <= a(1 downto 0) & '0';
end if;
end process;
end;
If a=011 then the value of a won't change over the clock.