Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Mul18x18 Xilinx primitive + modelsim simu

Status
Not open for further replies.

flote21

Advanced Member level 1
Joined
Jan 22, 2014
Messages
411
Helped
1
Reputation
2
Reaction score
3
Trophy points
1,298
Activity points
5,595
Hi guys!!

I am wondering why I got xxxxx at the output of this primitive when I try to simulate with modelsim. I don't get any error in the compilation and it looks it is going to work well. However I got always the xxx at the output....

Notice: with the ise simulator I got the same result.....

Any idea?

Greetings
 

Extremely hard to say what is going on without your code.

X's usually mean you didn't reset or initialize something or didn't connect some important input signal or if it is pipelined didn't run for long enough to flush out the garbage.
 
Hi!

I have already solved my problem. I did not declare the MULT component in the right way....

Thanks a lot.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top