Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to read file by vhdl?

Status
Not open for further replies.

shenql

Junior Member level 2
Joined
Nov 20, 2007
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,419
vhdl read file

now i have a file (this may be xx.text ,xx.data or xx.eti)! i want to get the data in the file and write sth into the file! who have experience about this or who have data about this? pls tell or leave message. i'll connect to you!
thanks!
 

read vhdl

ok! i got it !
thanks!
 

it provide the test bench. i want to know about the code.
help me out :?:
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top