Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Value change dump file

Status
Not open for further replies.

benny16

Member level 1
Joined
Mar 1, 2004
Messages
36
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
381
value change dump format

Could anyone one tell me what is VCD file and it use for what ?

Thanks,
Benny
 

value change dump

It is for test or save the resultion of the simulate
 

vcd value change dump

It is useful to debug and analyse power!
 

verilog vcd dump

Sometimes i think the vcd files is too huge.
 

value change dump file

benny16 said:
Could anyone one tell me what is VCD file and it use for what ?

Thanks,
Benny

A VCD is an ascii file (that's both an advantage and disadvantage) describing a digital waveform from a VHDL/Verilog simulator.

First there is a header describing the names of the signals in the file, followed by an abbreviation code (1 character) that will be used everywhere later on to refer to the signal (to save space in the file)
In the remainder of the file it contains 1 time instant per line of text, and only the changing signals that occur at that time point. (hence the name CHANGE dump).

Modelsim .wlf files are much more flexible, though, and they can also be manipulated programmatically.
 

vcd dump verilog

why not select fsdb?
 

value change dump file format

VCD or Value Change Dump. This particular format is used inorder to capture the signal transitions. We can use $dumpvars in verilog which will dump all the signals in the specified VCD file. The need to have a VCD file is that we can take this as input to any of the waveform viewer like signal scan, Debussy expects FSDB format.
 

gtkwave vpd

I think that the fsdb(novas) is more fit for dump signal. THe file is very small. I don't compare the running time between dump vcd and dump fsdb.
 

verilog dump vcd

Only debussy can support fsdb formate , in some times i need vcd files to do power analysis
 

fsdb to saif

VCD file not just for debugging. It also can use for generating testing pattern. But its file size is too large.
For debugging "fsdb" is better... :)
 

gtkwave fsdb

Only debussy can support fsdb formate , in some times i need vcd files to do power analysis

Could you give more information about how to power analysis by using the vcd file?
 

fsdb file format

Synopsys power compiler has a utility which can convert a VCD file to a SAIF file, this "SAIF" can be read by power compiler to do power analysis.
 

vcd value change dump format

when you debug you functionality, you should choose fsdb. but when you still consider power analysis, you should choose VCD. however, VCD file is two large.
 

fichier vcd dump

If you are using Signalscan to watch the waveform, VCD file is huge not convenient at all--each time you have to convert a VCD file to db file. Try to use "simulation history manager" instead of VCD, which is much more favorable.
 

vcd dump format

Hi,

U can get the VCD after simulation. If u feel it is big, convert it to fsdb using vfast which is provided by Novas. So now u can use VCD and fsdb, but using the converted fsdb from VCD does not supports some major features of nWave(Novas)

Regards,
GP
 

vcd dump file

VCD-Value Change Dump. This format is used to capture the signal transitions. We can use $dumpvars in verilog which will dump all the signals in the specified VCD file. The need to have a VCD file is that we can take this as input to any of the waveform viewer like signal scan, Debussy expects FSDB format.


gold_kiss above has already answered almost by the same words.
Warning!


--
makswell
 

value change dump converter

vcd really is huge. If you use vcs tools, you can use vpd file instead of vcd file and the vpd file is very small because of its binary file. You can watch the waveform by opening the vpd file. Additionally if you really want to use the vcd file, you can get it from the vpd file by the synopsys command "vpd2vcd".
 
vcd dump

can anyone plz tell me how can we generate a .vcd file from a verilog file.
also , how to generate a .fsdb file from the .vcd file?

Thanks & regards,

Jugantor
 

fsdb novas

Hello Jugantor

You can generate a vcd file using any of the simulatiuon tools like model sim .

Suresh
 

novas fsdb format

VCD is a standard format for many different tools, for ATE and power analysis, fsdb is novas format and is small size. but I think all these are accepted by popular EDA tools.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top