Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Fatal: Internal system error, cannot recover.

Status
Not open for further replies.

wisemonkey

Junior Member level 3
Joined
Apr 1, 2010
Messages
31
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
San Jose
Activity points
1,498
Hi everyone,
I'm using dc compiler to synthesize design. I can easily synthesize smaller designs but as designs grow in size dc_shell or design_vision close down with fatal error.
Is there a way to set up computer resources so that dc_shell won't fail but will take longer time? or anything along the lines

Thanks
 

The first thing to do in such a case is running different versions of DC to see what will happen.
 

Then get it from Synopsys. Unless you are a designer of Design Compiler, you wouldn't know what caused fatal errors. Fatal errors happens mostly due to some issues in your design or Design Compiler and you can't go anywhere until you narrow down the issue.
 

Hi everyone,
I'm using dc compiler to synthesize design. I can easily synthesize smaller designs but as designs grow in size dc_shell or design_vision close down with fatal error.
Is there a way to set up computer resources so that dc_shell won't fail but will take longer time? or anything along the lines

Thanks

Method you amy try:
1): Get more Physical memory for your server or PC.
2): Try to use dc_shell-t -64 mode if your CPU and OS support 64 bit mode.
3): Try to use down-top method to do synthesis. Synthesis small module first and stitch them up at top level. This will gain better timing and area.

Thanks.
 
Thanks down-top helped :) I'll try 64 bit OS as well.

Will down-top approach work when I've only one module to synthesize?
 
Last edited:

Thanks down-top helped :) I'll try 64 bit OS as well.

Will down-top approach work when I've only one module to synthesize?

Yes of cause if you're the designer of Design Compiler.
Bt the way; you may try "group" command. But it's better to create hierrchy by the RTL code designer.
Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top