Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

mathematical operation in vhdl

Status
Not open for further replies.
Depending on your FPGA, CPLD, etc it depends!!! Xilinx has a block for trigonometric functions as DSP blocks which you can create in core generator. I have personally used a ROM block filled with precalculated sin or cos values in single precision floating point. That is the simplest way, except that you define your precision by the size of the ROM. An advantage to this is that it only takes one clock cycle to get your computed value :)

Let me know if there is anything I can do to help
Good luck
 
Several years ago, I implemented a sin for an ASIC and I use LUT for this.

Regards,
Jerome
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top