Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Divider==Counter? How to divide by different "N"?

Status
Not open for further replies.

knack

Member level 2
Joined
Feb 25, 2007
Messages
48
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Location
Austria
Activity points
1,674
Hi there!

Is it alway could stated that Counter = Divider and Divider = Counter??

I specially mean in PLL way back from VCO... the "÷N" block, is it counter or divider??

It should be multimodulus right?? for an application like a freq. Synthesizer!

Well, practically speaking, how can a counter or a divider be changed??

I assume that they'll change it using "clear" and "reset" etc. signals, but this will have different delays for divided signals...

Anybody understanding "how a multimodulus divider work" can explain it to me??

Cheers,

-- Knack
 

You can think of a divider as a counter that pulses a single bit output 'high' whenever the count value is 0x0. Then you build a counter that counts up (or down) to (from) a programmable value, wraps and keeps counting. Whenever it wraps, the output is pulsed high for a cycle. This will give you a multi-modulus N or M divider. For a post divider this approach is generally not sufficient because of the highly distorted duty cycle at the output.
 

    knack

    Points: 2
    Helpful Answer Positive Rating
Re: Divider==Counter? How to divide by different "N&amp

Yeah.. You're great!

But practically, how can i get a contineous range of values of N or M values that divider divide by them?

Can you provide sample simple example of such a divider? that may divide for example by values from 180-280 with constant unity step!.. is there something practically like that??

Thnx again :)
--Knack
 

In verilog for 16 bit divider (1-65536):

input CLK;
input [15:0] N;

output DIV_CLK;
reg DIV_CLK;
reg [15:0] counter;

always @(posedge CLK) begin
if(counter == N) counter <= 16'h0000;
else counter <= counter + 1'b1;
DIV_CLK <= (counter == 16'h0000);
end
 

    knack

    Points: 2
    Helpful Answer Positive Rating
Re: Divider==Counter? How to divide by different "N&amp

eternal_nan, How can i thank u!

But i don't have license to verilog, i have one to Verilog-A only!!! Your code can't be applied to it..

Moreover, i hope to get a block diagram to the divider or a Flip-Flop level schematic or something like that..

Thnx anyway
-- Knack
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top