Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Proteus fatal error, Processor resets

Status
Not open for further replies.

teddydogowners

Newbie level 4
Joined
Jul 4, 2016
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
62
Hi!

I'm using a PIC24FJ64GA106 to design a time domain reflectometer using the inbuilt CTMU of the chip. I've done the coding, and it compiles fine in MPLAB. However, while simulation it in Proteus, it throws the error:

"Attempt to execute illegal opcode 0xDA4000 in memory location [some location here] - processor is reset"
This error repeats hundreds of times in less than a second. Is there any thing i can do to fix this?

Thanks in advance!
 

If array is used in code then you are pointing to an array index which doesn't exist.
 
I'm just wondering what answer do autor is waiting for? Without code? Without schematics? Just in general? Yes, some times it happens. That's it.
 
Trace code execution in simulator (preferably MPLAB SIM not Proteus), find out how you came to "some location".
 
Umm, I should have explained this, I guess.

I know I'm supposed to post code and stuff with my question, but I don't have any of that with me. I work at a place where Internet is severely restricted, and the security measures prevent me from taking any storage devices with me into the facility. I therefore don't have a copy of my work with me.

I am sorry for the lack of code and the lack of an explanation.
 

I doubt that it is a Proteus simulation error, Proteus is normally good at executing code, it is more probable that you have an error in your code.
 

I guess you are using a wrong HEX/BIN file, perhaps not compiled from the source code.
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top